CPLDを試す

2002/03/22

   はじめに

CPLDが試してみたいよー、ということではじめりです。どこからはじめてよいやら。メーカーとしてはXilinxAlteraが有名らしい。調べてみると(って眺めただけ)、、、一言、Xilinxの方がやすい!よってこっちに決定。(あとからわかったんだけど、Alteraのほうがちょびっと高機能みたいです。)

最近軟弱になってきたので、東京の出張時に4階の若松(ラジオ会館駅前店というらしい)で12,000-で完成品を入手。東京はなんてべんりなんだ。ヒューマンデータにも似たものが売ってます。

   開発環境のセットアップ

いまの御時勢、開発環境がフリーでダウンロードできる。いい世の中になったもんだ。XilinxのページからWebPACK ISEをダウンロード。よくわからないので全部にチェックをつけてダウンロードしました。あとシミュレータ(ModelSIM XE)もダウンロードできるようになってました。こっちのほうは後日メールでライセンスファイルが送られてきてライセンスウィザードで使用可能になりました。バージョンは

WebPACK ISE 4.1WP3.x

というもので、書き込みソフトは

iMPACT 4.1WP3.x

でした。これらをWindows2000SP2にインストールしました(プリンタポート直結ISP書き込みできます)。

   何か書いてみる

何か試してみたくて臆病な私は、「あるポートをLOにしたら別のLEDを光らせる」という、この上なく簡単な回路!インバータ1個のみです。

回路はほんとに上のように回路図で書けます、こんなんが無料なのか?将来的にはVerilogHDL言語を使用の予定。なんか括弧でくくる感じがC言語みたいだったので。ちなみに上のような回路図とVerilogHDLとを混合させて作れるみたいです。

自分用の記録のために手順を記しておくと、

(1)Project Navigator を起動。
(2)File -> New Project でチップの種類(XC9572 PC44)、開発言語(XST Verilog)に設定。
(3)Project -> New Source でソースを追加。ここでSchematicを選択。するとXilinx ECSという回路図エディタが自動で立ち上がります。
(4)適当に回路図を書く。ここで出力にする予定のポートをダブルクリックして「Outport」に変更しないとあとで『入力ポートしかないよー』とはじかれます。
(5)これから合成、埋め込み、*.jedの作成。Project NavigatorのProcess for current sourceのそれぞれの項目を上から順にダブルクリックしていきます。
(6)Impliment design -> Fit -> View fitted designでどのピンが割り当てられたか見れます。そう、指定しなければ自動で割り当てられるんです。
(7)書き込みです。Generate programming file -> Configure deviceをダブルクリックでiMPACTという書き込みソフトが立ち上がります。

がーん、書き込みできませんでした。

   書き込み器の作成

今のバージョンの書き込みソフトでは、ついてくる簡易版書き込みケーブルは使えないかもです。そこでXilinxで公開されている書き込み回路を作ってみました。でもキット付属のケーブルを半分使用して作るので、キットは無駄にはなりません。

こんな感じでケースにしまいこんでみました。それともうひとつパソコンの設定です。まずBIOSでECPに設定していること。Windows2000の時の注意はデバイスマネージャーでLPTポートの割り込みを直接使うように設定することです。こんなんで相当はまりました、涙。

で、さっきの続きで、iMPACTから右ボタンでプログラミング。キタ━━(゚∀゚)━━!!!!!。書き込まれてるよ、動作してるよ、すげー、おもれー。

   おしまい

とりあえず動いたみたいです。このボードはLEDが大量についててにぎやかです。ひとまずLEDをいろいろ点滅させてみたり。

ThinkPad i1124はプリンタポートがついてるのでべんり。B5でシリアルパラレルつきのノートとして重宝してます。でも後継のs30(レガシーポートなし)も終了みたいです。このノートは手放せないです。


return to home page